CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 搜索资源 - floating point verilog

搜索资源列表

  1. mult

    2下载:
  2. 32位浮点乘法器的源代码,用verilog来实现的-32-bit floating point multiplier source code to achieve with verilog
  3. 所属分类:VHDL编程

    • 发布日期:2012-11-29
    • 文件大小:1839
    • 提供者:yolin
  1. divider

    1下载:
  2. verilog HDL编写的浮点除法器,编译通过,可综合。压缩包包含三个文件。-verilog HDL write floating-point divider, compile, can be integrated. Archive contains three files.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-28
    • 文件大小:2307
    • 提供者:韩冰
  1. fpadd

    1下载:
  2. 利用verilog hdl编写的浮点加法器运算单元,单精度。-Verilog hdl prepared to use floating-point adder computing unit, single-precision.
  3. 所属分类:Linux-Unix program

    • 发布日期:2017-04-16
    • 文件大小:12452
    • 提供者:孟军
  1. undistort

    0下载:
  2. floating point arthematic function with verilog code
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-01
    • 文件大小:508359
    • 提供者:tragun
  1. 69491706fp_add_sub

    0下载:
  2. verilog code for floating point adding
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-02
    • 文件大小:687189
    • 提供者:bin
  1. fpu100_latest.tar

    1下载:
  2. 这是一个32位的浮点运算单元(FPU),它可以根据IEEE754标准被完全编译。此FPU已被硬件测试和被软件仿真通过。-This is a 32-bit floating point unit (FPU),It can do arithmetic operations on floating point numbers. The FPU complies fully with the IEEE 754 Standard. The FPU was tested and simulated in h
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2016-12-20
    • 文件大小:1981120
    • 提供者:赵恒
  1. floating_point_addition_subtraction

    0下载:
  2. Simple floating point addition unit written in Verilog
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-03
    • 文件大小:3246
    • 提供者:binh
  1. Floating-Point-Adder

    1下载:
  2. 浮点数加法器IP核的vhd设计。浮点数加法运算是运输中使用最高的运算,结合vhdl和EPGA可编程技术,完成具有5线级流水线结构、符合IEEE 754浮点标准、可参数化为单、双精度的浮点数加法器。-Floating point adder design IP core vhd. Floating-point addition operation is used in most transport operations, combined with vhdl and EPGA programmab
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-22
    • 文件大小:154913
    • 提供者:凌音
  1. CourseDesign

    0下载:
  2. 用Verilog实现一位原码浮点数乘法器,按照累加的方式,逐位相乘,再相加。-Verilog realization of an original code with floating point multiplier, in accordance with the cumulative way, bit by bit multiply, then add.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-24
    • 文件大小:246267
    • 提供者:李伟彬
  1. fpufiles

    0下载:
  2. floating point adder mul and sub in verilog code
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-03
    • 文件大小:19233
    • 提供者:khosro raja
  1. floating-point-adder

    0下载:
  2. verilog implementation of the floating point adder
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-27
    • 文件大小:1617
    • 提供者:ramtin
  1. floating-point-multiplier

    0下载:
  2. verilog implementation of the floating point multiplier
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-27
    • 文件大小:1441
    • 提供者:ramtin
  1. sqrt_for_single_float_point

    0下载:
  2. 用verilog实现了基于中值定理求解单精度浮点开方的功能,希望对大家学习有所帮助-With verilog implemented based on the mean value theorem to solve single-precision floating point square root function, we want to study and help ... ...
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-14
    • 文件大小:4763
    • 提供者:楚艳超
  1. Floating-Point-Multiplier-in-Verilog

    0下载:
  2. Floating Point Multiplier in Verilog
  3. 所属分类:VHDL-FPGA-Verilog

  1. a-floating-point-adder

    0下载:
  2. 一个浮点加法器,verilog描述,数据格式:高14位为尾数,低四位位指数(带符号数运算)-A floating point adder Verilog descr iption
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-29
    • 文件大小:2159
    • 提供者:张松
  1. floating-point-multip

    1下载:
  2. verilog code for floating point multiplier
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-04
    • 文件大小:51354
    • 提供者:rajesh
  1. 数字信号处理的FPGA实现-第三版-verilog源程序

    1下载:
  2. 数字信号处理的FPGA实现, 包括了FPGA基础知识,浮点运算,信号处理的FIR FFT等,附录包含源代码(Digital signal processing FPGA implementation, including the basic knowledge of FPGA, floating point operations, signal processing FIR, FFT, etc., the appendix contains the source code)
  3. 所属分类:VHDL/FPGA/Verilog

    • 发布日期:2017-12-14
    • 文件大小:4568279
    • 提供者:btty
  1. subtraction floating point

    0下载:
  2. subtract two number floating point (32 bit)
  3. 所属分类:VHDL/FPGA/Verilog

    • 发布日期:2018-04-28
    • 文件大小:362496
    • 提供者:truong tho
  1. eetop.cn_利用FPGA实现浮点运算的verilog代码

    0下载:
  2. 计算机里整数和小数形式就是按普通格式进行存储,例如1024、3.1415926等等,这个没什么特点,但是这样的数精度不高,表达也不够全面,为了能够有一种数的通用表示法,就发明了浮点数。 浮点数的表示形式有点像科学计数法(*.*****×10^***),它的表示形式是0.*****×10^***,在计算机中的形式为 .***** e ±***),其中前面的星号代表定点小数,也就是整数部分为0的纯小数,后面的指数部分是定点整数。利用这样的形式就能表示出任意一个整数和小数,例如1024就能表示成0.
  3. 所属分类:VHDL/FPGA/Verilog

    • 发布日期:2018-04-29
    • 文件大小:130048
    • 提供者:哒啦啦啦
  1. Fixed-Floating-Point-Adder-Multiplier-master

    0下载:
  2. Fixed-Floating-Point-Adder-Multiplier with test bench
  3. 所属分类:其他

    • 发布日期:2018-05-03
    • 文件大小:9216
    • 提供者:liki20
« 12 3 4 »
搜珍网 www.dssz.com